I found my answer on page 260/261 of this document. I have to use the OBUFDS primitive from the unisim library. My final code contains the lines:
library unisim; use unisim.vcomponents.all; differential_pair_driver : OBUFDS port map ( O => ck, OB => ck_n, I => clk ); Edit: What I didn't understand when I wrote this answer, is that the OBUFDS is a so-called primitive. The primitives you can use can be different for another FPGA. I think that in general, the most appropriate way is to look up the primitives for your specific fpga. I have a Spartan-6. Googling 'spartan 6 primitives' yields this document as the first result. The OBUFDS can be found on page 195.