I found my answer on page 260/261 of this document. I have to use the OBUFDS primitive from the unisim library. My final code contains the lines:
library unisim; use unisim.vcomponents.all; differential_pair_driver : OBUFDS port map ( O => ck, OB => ck_n, I => clk );