How would you constrain this design? 
ext_clk and clk_in are asynchronous to each other. clk_div is derived by clk_in with double period.
clk_out may be driven by either clk_in and ext_clk, according to the selector pin of the multiplexer.
This is what I would do:
create_clock -period 42 -waveform {0 21} [get_ports "clk_in"] create_clock -period 300 -waveform {0 21} [get_ports "ext_clk"] create_generated_clock -name clk_out -source [get_ports "clk_in"] -divide_by 2 [get_pins "xmux/y"] create_generated_clock -name clk_out -source [get_ports "ext_clk"] [get_pins "xmux/y"] -add I am not totally sure this is the proper way to constrain this design. What do you think will be the impact of these constraints to the CTS generation in terms of balancing for the logic clocked by clk_out if it talks, mutually exclusive, with the logic driven by clk_in and ext_clk?